217 views
# ESOS Seminars `ESOS Seminar` The ESOS team is happy to announce the opening of our seminars on **Sustainable, Open, and Sovereign Electronics ([ESOS](https://esos.insa-rennes.fr/))**. These seminars represent a great opportunity to dive into the latest advances in electronics, sustainability and innovation. - **Dates and Times**: every months, starting from the 5th of october. - **Location**: [Online](https://insa-rennes-fr.zoom.us/meeting/93460366761), or INSA Rennes, Build. 10, Room 229 - **What to Expect**: burning topics of sustainable, open, and sovereign electronics, featuring presentations by researchers, lively discussions. *Discover how electronics can shape a more sustainable and collaborative future.* > [Zoom link](https://insa-rennes-fr.zoom.us/meeting/93460366761) Meeting ID: 934 6036 6761 Passcode: 172341 > >[Recordings](https://www.youtube.com/channel/UCYQpyIXMrk6mOwFgfAFmm4w) > > Organisation contact: G. de Panthou (@INSA Rennes) > :::info <!--You can subscribe to a **esos-seminaires** mailing-list. To subscribe, send an e-mail to sympa@insa-rennes.fr with the following subject line (with YOUR first and last names): subscribe ESOS-seminars FirstName LastName --> #### Stay tuned :point_down: You can subscribe to the **esos-seminaires** mailing-list. To subscribe, send an e-mail to sympa@insa-rennes.fr with the following email object (with YOUR first and last names): *subscribe esos-seminaires FirstName LastName* ::: ## Next Seminars <!--### 05/10/23 @ 3pm, Thibaut Marty: Kaya for Computer Architects: Toward Sustainable Computer Systems ### 9 April 2024 @ 10am, Adrien Vincent, Bordeaux INP - ENSEIRB-MATMECA : Life cycle assessment of ICT in higher education: a comparison between desktop and single-board computers --> ## Previous Seminars ### 9 April 2024 @ 10:15am, Adrien Vincent, Life cycle assessment of ICT in higher education - A comparison between desktop and single-board computers Adrien Vincent, Bordeaux INP - ENSEIRB-MATMECA [See the article](https://inria.hal.science/hal-03938948/file/LCA_of_ICT_in_higher_education_Loubet_2023.pdf) Presentation of an electronics use case and the associated methodology used to conduct LCAs of different materials. Collection of inventory data and transposition into "ecoinvent" with current limitations. <iframe width="560" height="315" src="https://www.youtube.com/embed/8hbd4aDMab8?si=GyhM79niFnMkwAi2" title="YouTube video player" frameborder="0" allow="accelerometer; autoplay; clipboard-write; encrypted-media; gyroscope; picture-in-picture; web-share" referrerpolicy="strict-origin-when-cross-origin" allowfullscreen></iframe> ### 25 January 2024 @ 10am, Nicolas Beuve: Computation of life cycle assessment <iframe width="560" height="315" src="https://www.youtube.com/embed/KmgH8R0KemM?si=MmfYsuN5NZWXtJ3j" title="YouTube video player" frameborder="0" allow="accelerometer; autoplay; clipboard-write; encrypted-media; gyroscope; picture-in-picture; web-share" allowfullscreen></iframe> ### 9 November 2023, by Maxime Pelcat : The Environmental Footprint of IC Production We discuss this article published in 2023 by Thibault Pirson, Thibault P. Delhaye, Alex G. Pip, Grégoire Le Brun, Jean-Pierre Raskin, and David Bol in IEEE Transactions on Semiconductor Manufacturing. Authors conduct an advanced review of the current knowledge of environmental impacts of integrated circuit production. The studied performance indicators are energy consumption, global warming potential, and water consumption. The study concludes on quite stable impacts per cm² of produced silicium while the total silicon area produced increases by 3.6%/year. <iframe width="560" height="315" src="https://www.youtube.com/embed/e2Uk6b9QrUM?si=7R-6hCVhMtj3uMyw" title="YouTube video player" frameborder="0" allow="accelerometer; autoplay; clipboard-write; encrypted-media; gyroscope; picture-in-picture; web-share" allowfullscreen></iframe> ### 5 October 2023, by Thibaut Marty: Kaya for Computer Architects: Toward Sustainable Computer Systems We present an article by Lieven Eeckhout that reformulates Kaya's well-known identity to apply it to computer/chip architecture and allow us to reason about its sustainability over time. The paper distinguishes between embodied (fabric) and operational (usage) emissions, and analyses current trends. Finally, it raises the question of what we, as computer architects, can do to make chips more sustainable, and suggests several avenues to pursue. [See the recording on Youtube](https://youtu.be/tuCS6bJamSg) <iframe width="560" height="315" src="https://www.youtube.com/embed/tuCS6bJamSg?si=n1RQjcRRXp-9ccos" title="YouTube video player" frameborder="0" allow="accelerometer; autoplay; clipboard-write; encrypted-media; gyroscope; picture-in-picture; web-share" allowfullscreen></iframe>